3—8译码器quartus(38译码器输入输出)
大家好我是小栢,3—8译码器quartus,关于38译码器输入输出很多人还不知道,那么现在让我们一起来看看吧!
1、library ieee;use ieee.std_logic_1164.all;entity decoder3_8 isport(a,b,c,e1,e2a,e2b:in std_logic;y:out std_logic_vector(7 downto 0));end decoder 3_8;architecture decoder1 of decoder3_8 issignal indata:std_logic_vector(2 downto 0);beginindata。
本文到这结束,希望上面文章对大家有所帮助。
声明:本站所有文章资源内容,如无特殊说明或标注,均为采集网络资源。如若本站内容侵犯了原著者的合法权益,可联系 836084111@qq.com 删除。